基本信息来源于合作网站,原文需代理用户跳转至来源网站获取       
摘要:
随着工艺技术的进步,单颗芯片上集成的晶体管的数量已经超过十亿.为了对大规模芯片设计进行验证,搭载多颗千万门级FPGA的验证平台已经成为一种必然趋势.然而,在逻辑资源急速增加的情况下,FPGA的I/O端口数量却没有相应增加,使得I/O端口数量成为多FPGA原型验证系统的瓶颈.为了解决这个问题,时分复用技术(TDM:Time-Division-multiplexing)被广泛采纳.基于XilinxVirtex-7XC7V2000TFLG1925FPGA,本文在2颗以及4颗FPGA互连平台上实现了多FPGA时分复用互连模块,利用约100个数据传输通道满足了多达数十倍信号数量的通信需求.通过Xilinx的集成设计环境VIVADO软件综合后的功能测试、实现后的功能和时序测试以及在互连平台上的真实测试证明,本文所实现的多XilinxFPGA互连模块能够正确高效工作.本文工作为后续更多颗的FPGA之间的互连以及在上面实现各种高速互连协议奠定了基础.
推荐文章
支持多模块的DVB通用接口的实现
DVB-CI
多模块
条件接收
通用接口
基于Balsa—XilinxFPGA的异步设计流程
异步电路
Balsa
Xilinx
综合
仿真
DCS与MIS互连的实现
网络互联
方法
集散控制系统
管理信息系统
应用服务器
基于TAPI和PBX实现双机互连互通
TAPI
调制解调器
城际交换机
互联互通
通信
内容分析
关键词云
关键词热度
相关文献总数  
(/次)
(/年)
文献信息
篇名 多XilinxFPGA互连模块的实现
来源期刊 计算机科学与技术汇刊:中英文版 学科 工学
关键词 赛灵思现场可编程门阵列 时分复用技术 低压差分信号传输 硬件描述语言 集成设计环境
年,卷(期) 2015,(3) 所属期刊栏目
研究方向 页码范围 44-49
页数 6页 分类号 TP
字数 语种
DOI
五维指标
作者信息
序号 姓名 单位 发文数 被引次数 H指数 G指数
1 徐潮 1 0 0.0 0.0
2 黄立波 1 0 0.0 0.0
3 王玉姣 1 0 0.0 0.0
4 张民选 1 0 0.0 0.0
传播情况
(/次)
(/年)
引文网络
引文网络
二级参考文献  (0)
共引文献  (0)
参考文献  (25)
节点文献
引证文献  (0)
同被引文献  (0)
二级引证文献  (0)
2010(1)
  • 参考文献(1)
  • 二级参考文献(0)
2015(0)
  • 参考文献(0)
  • 二级参考文献(0)
  • 引证文献(0)
  • 二级引证文献(0)
研究主题发展历程
节点文献
赛灵思现场可编程门阵列
时分复用技术
低压差分信号传输
硬件描述语言
集成设计环境
研究起点
研究来源
研究分支
研究去脉
引文网络交叉学科
相关学者/机构
期刊影响力
计算机科学与技术汇刊:中英文版
年刊
2327-090X
湖北省武汉市武昌区珞狮南路519号(中国
出版文献量(篇)
69
总下载数(次)
2
总被引数(次)
0
论文1v1指导